Dekorationsartikel gehören nicht zum Leistungsumfang.
Flip-Flop Design in Nanometer CMOS
From High Speed to Low Energy
Buch von Massimo Alioto (u. a.)
Sprache: Englisch

96,35 €*

inkl. MwSt.

Versandkostenfrei per Post / DHL

Lieferzeit 2-3 Wochen

Kategorien:
Beschreibung
This book provides a unified treatment of Flip-Flop design and selection in nanometer CMOS VLSI systems. The design aspects related to the energy-delay tradeoff in Flip-Flops are discussed, including their energy-optimal selection according to the targeted application, and the detailed circuit design in nanometer CMOS VLSI systems. Design strategies are derived in a coherent framework that includes explicitly nanometer effects, including leakage, layout parasitics and process/voltage/temperature variations, as main advances over the existing body of work in the field. The related design tradeoffs are explored in a wide range of applications and the related energy-performance targets. A wide range of existing and recently proposed Flip-Flop topologies are discussed. Theoretical foundations are provided to set the stage for the derivation of design guidelines, and emphasis is given on practical aspects and consequences of the presented results. Analytical models and derivations are introduced when needed to gain an insight into the inter-dependence of design parameters under practical constraints. This book serves as a valuable reference for practicing engineers working in the VLSI design area, and as text book for senior undergraduate, graduate and postgraduate students (already familiar with digital circuits and timing).
This book provides a unified treatment of Flip-Flop design and selection in nanometer CMOS VLSI systems. The design aspects related to the energy-delay tradeoff in Flip-Flops are discussed, including their energy-optimal selection according to the targeted application, and the detailed circuit design in nanometer CMOS VLSI systems. Design strategies are derived in a coherent framework that includes explicitly nanometer effects, including leakage, layout parasitics and process/voltage/temperature variations, as main advances over the existing body of work in the field. The related design tradeoffs are explored in a wide range of applications and the related energy-performance targets. A wide range of existing and recently proposed Flip-Flop topologies are discussed. Theoretical foundations are provided to set the stage for the derivation of design guidelines, and emphasis is given on practical aspects and consequences of the presented results. Analytical models and derivations are introduced when needed to gain an insight into the inter-dependence of design parameters under practical constraints. This book serves as a valuable reference for practicing engineers working in the VLSI design area, and as text book for senior undergraduate, graduate and postgraduate students (already familiar with digital circuits and timing).
Zusammenfassung

Provides a unified treatment of Flip-Flop design and energy/variation-aware selection in nanometer CMOS VLSI systems

Offers in-depth analysis of the impact of nanometer effects on design tradeoffs

Presents a comprehensive analysis, by considering more than 20 topologies covering all relevant classes of circuits

Uses a rigorous framework based on novel methodologies to include layout parasitics within the circuit design loop

Includes supplementary material: [...]

Inhaltsverzeichnis

The Logical Effort Method.- Design in the Energy-Delay Space.- Clocked Storage Elements.- Flip-Flop Optimized Design.- Analysis and Comparison in the Energy-Delay-Area Domain.- Energy Efficiency Versus Clock Slope.- Hold Time Issues and Impact of variations on Flip-Flop Topologies.- Ultra-Fast and Energy-Efficient Pulsed Latch Topologies.

Details
Erscheinungsjahr: 2014
Fachbereich: Nachrichtentechnik
Genre: Technik
Rubrik: Naturwissenschaften & Technik
Medium: Buch
Seiten: 276
Inhalt: xv
260 S.
118 s/w Illustr.
5 farbige Illustr.
260 p. 123 illus.
5 illus. in color.
ISBN-13: 9783319019963
ISBN-10: 3319019961
Sprache: Englisch
Herstellernummer: 86274585
Ausstattung / Beilage: HC gerader Rücken kaschiert
Einband: Gebunden
Autor: Alioto, Massimo
Palumbo, Gaetano
Consoli, Elio
Auflage: 2015
Hersteller: Springer International Publishing
Springer International Publishing AG
Maße: 241 x 160 x 19 mm
Von/Mit: Massimo Alioto (u. a.)
Erscheinungsdatum: 30.10.2014
Gewicht: 0,582 kg
preigu-id: 105116959
Zusammenfassung

Provides a unified treatment of Flip-Flop design and energy/variation-aware selection in nanometer CMOS VLSI systems

Offers in-depth analysis of the impact of nanometer effects on design tradeoffs

Presents a comprehensive analysis, by considering more than 20 topologies covering all relevant classes of circuits

Uses a rigorous framework based on novel methodologies to include layout parasitics within the circuit design loop

Includes supplementary material: [...]

Inhaltsverzeichnis

The Logical Effort Method.- Design in the Energy-Delay Space.- Clocked Storage Elements.- Flip-Flop Optimized Design.- Analysis and Comparison in the Energy-Delay-Area Domain.- Energy Efficiency Versus Clock Slope.- Hold Time Issues and Impact of variations on Flip-Flop Topologies.- Ultra-Fast and Energy-Efficient Pulsed Latch Topologies.

Details
Erscheinungsjahr: 2014
Fachbereich: Nachrichtentechnik
Genre: Technik
Rubrik: Naturwissenschaften & Technik
Medium: Buch
Seiten: 276
Inhalt: xv
260 S.
118 s/w Illustr.
5 farbige Illustr.
260 p. 123 illus.
5 illus. in color.
ISBN-13: 9783319019963
ISBN-10: 3319019961
Sprache: Englisch
Herstellernummer: 86274585
Ausstattung / Beilage: HC gerader Rücken kaschiert
Einband: Gebunden
Autor: Alioto, Massimo
Palumbo, Gaetano
Consoli, Elio
Auflage: 2015
Hersteller: Springer International Publishing
Springer International Publishing AG
Maße: 241 x 160 x 19 mm
Von/Mit: Massimo Alioto (u. a.)
Erscheinungsdatum: 30.10.2014
Gewicht: 0,582 kg
preigu-id: 105116959
Warnhinweis

Ähnliche Produkte

Ähnliche Produkte